Reactive ion etching pdf

The tabletop instrument is suitable for research and development and can be used for small pilot production applications. A pattern of a hard mask is placed onto the surface of the thin film, followed by a step of reactive ion etching using a plasma formed using a gas feed of some combination of some amounts of methane ch 4 and hydrogen h 2, and some or no amount of argon ar. Dry etching we covered wet etching which is essentially chemical and isotropic because it is chemical, it is highly selective now we consider dry etching which has largely replaced wet. It is a highly controllable process that can process a wide variety of materials. In the past several years, reactive ion etching rie of sic polytypes 3c and 6h has been investigated in fluorinated gases primarily chf 3, cbrf 3, cf 4,sf 6, and nf 3, usually mixed with oxygen and occasionally with other additives or in a mix.

Schematic illustrations of such techniques are shown in figure 1. First a plasma system is used to ionize a reactive gas then ions are accelerated bombarding the surface 1. Reactive ion etching reactive ion etching is a dry etching techniqueusing reactive plasma to rem ove material from a surface. Wet and dry etching university of california, davis. Reactive ion etching rie of sic in fluorinated plasmas has been developed to the. Dry etching we covered wet etching which is essentially chemical and isotropic because it is chemical, it is highly selective now we consider dry etching which has largely replaced wet based on highly anisotropic sputtering process and may include reactive ions, so can also be chemical and selective. Platinum films were etched in a rf diode parallel plate rie tool with a grounded upper electrode and a. Plasma etching techniques including rie, pe, icp, and drie. Reactive ion etching rie is a high resolution mechanism for etching materials using reactive gas discharges.

A survey on the reactive ion etching of silicon in microtechnology. Approaches to minimize deep trench etching problems. Silicon samples are immersed in a plasma chamber and ion assisted etching. Reactive ion etching rie combines best of directionality and selectivity. Lower operating pressures result in higher anisotropy longer mean free path allows more directed acceleration of ions 2. The layer to be etched is removed by chemical reactions andor physical means ion. A pattern of a hard mask is placed onto the surface of the thin film, followed by a step of. During rie etching processes, volatile compounds are formed in interaction of sample surfaces.

To cover the range of applications, one etch is tuned for deep reactive ion etching. During rie etching processes, volatile compounds are formed in interaction of sample surfaces and highenergy ionsradicals generated by lowpressure plasma. Corrosion study of an alcu alloy exposed to reactive ion etching. Reactiveion etching rie vs deep reactiveion etching drie reactiveion etching rie and deep reactiveion etching drie are both dry etching techniques used in. Reactive ion etching rie texturing is wellknown as an effective method to form the surface structure on a multicrystalline mcsi wafer that has grains with randomly oriented crystallites.

The most important feature of plasma dry etching is the much faster etching rate of gan compared to cmp 7477. A disadvantage of wet etching is the undercutting caused by the isotropy of the etch. We specialize in studying etch processes of nonconventional thinfilm materials such as copper, indium tin oxide, metal oxides, asi. The most important feature of plasma dry etching is. In this paper we describe a study of platinum patterning by reactive ion etching rie. Request pdf plasma and reactive ion etching plasmas have been employed in a wide range of industrial applications such as etching, sputtering, and chemical vapor deposition. Reactive sputter etching of sio 2 with chf 3o 2 plasmas has been investigated in a parallel plate reactor by combining etch rate measurements with concurrent determination of. Dry etching with photoresist masks engineering research. Dry etching substrates are immersed in a reactive gas plasma. Ee143 f2010 lecture 14 1 etching etching terminology etching considerations for ics wet etching reactive ion etching plasma etching professor n cheung, u. Reactive ion etching rie uses both physical and chemical mechanisms to achieve high levels of resolution. Results of applications such as etching of highly boron doped epitaxial layers and polysilicon are shown.

Reactive ion etching for fabrication of biofunctional. Reactive ion etching rie combines the plasma and sputter etching processes. Reactiveion etching rie is an etching technology used in microfabrication. It was developed for microelectromechanical systems mems, which require these features, but is also used to excavate trenches for highdensity. Reactive ion etching rie is an etching technology used in microfabrication. The purpose of dry etching is to create an anisotropic etch. The high reflectivity of bare silicon substrates is reduced by roughening the surface with reactive ion etching rie. Firstprinciples simulation and experimental reactive ion etching rie revealed that the etch resistance of singlelayer graphene slg with the sp2 carbon fully connected hexagonal structure is superior to that of conventional carbon resist, with an etch selectivity against sio2 reaching.

Introduction to plasma etching university of texas at austin. An anisotropic etch is critical for good pattern transfer. However, in general, while plasma dry etching removes the subsurface damage induced by mechanical. A reactive ion etch study for producing patterned platinum. However, this contact hole fabrication process would require sufficient allowance in the lithographic mask apertures to take into account the diameter change caused by the liner. The mechanisms of reactive ion etching are discussed by. Corrosion study of an alcu alloy exposed to reactive ion etching v. The process is one of the most diverse and most widely used. Lower operating pressures result in higher anisotropy longer mean free. Reactive ion etching rie basics a disadvantage of wet etching is the undercutting caused by the isotropylateral etching at the same rate as the vertical etch.

For the tiniest features, atomic layer etching ale removes a. Rie uses chemically reactive plasma to remove material deposited on wafers. A single rf plasma source determines both ion density and energy. Here, we systematically studied the effects of different processing parameters of inductively coupled plasma reactive ion etching icp rie on the ti nanostructures. Deep reactive ion etching as a tool for nanostructure. Among the dry etching techniques, plasma and reactive ion etching are the most popular in semiconductor processing. It is a highly controllable process that can process a wide variety of materials, including semiconductors, dielectrics and some metals. Pdf inhomogeneous etching of nanocrystalline diamond ncd films, which produces nanopillars during reactive ion etching process, is problematic to. Parametric reactive ion etching of inp using cl2 and ch4 gases jae su yu and yong tak lee. Plasma plasma is a partially ionized gas composed of equal numbers of positive and negative. In this paper, we demonstrate the optimization of reactiveion etching rie parameters for the fabrication of tantalum pentoxide ta2o5 waveguide. Crystallographic orientation dependent reactive ion. Reactive ion etcher system torr internationalservices llc.

Magnetically enhanced reactive ion etching merie with. The purpose of dry etching is to create an anisotropic etch meaning that the etch is directional. One of the processes that is most frequently used to remove material in an anisotropie manner was pioneered by hosokawa et al 5 in 1974 and is commonly referred to as reactive ion. Jun 08, 2014 reactive ion etching rie basics a disadvantage of wet etching is the undercutting caused by the isotropylateral etching at the same rate as the vertical etch. Ion enhanced etching or reactive ion etching rie it has been observed that chemical and physical components of plasma etching do not always act independently both in terms of net etch rate and in resulting etch profile.

Beheim, deep reactive ion etching for bulk micromachining of silicon carbide, the mems handbook, editor gadel hak, chapter 21, 2002, pp. Deep reactive ion etching drie is a highly anisotropic etch process used to create deep penetration, steepsided holes and trenches in wafers substrates, typically with high aspect ratios. Magnetically enhanced reactive ion etching merie with different field configurations k. Rie is a type of dry etching which has different characteristics than wet etching. Here also, a discussion of nomenclature is in order. Reactive ion etching is an anisotropic processreactive ion etching is an anisotropic process. The potential of combining topdown fabrication methods with the. Reactive ion etching rie etching basics byu cleanroom. To cover the range of applications, one etch is tuned for deep reactive ion etching of high aspect ratio microstructures in silicon, while another is tuned for high aspect ratio nanoscale structures. Deep reactive ion etching as a tool for nanostructure fabrication. Dec 11, 2019 it is known that micro and nanoscale topographies have a significant impact on the behavior of both eukaryotic and prokaryotic cells 1. Microelcctronic engineering 10 1989 5567 55 elsevier science iumishcrs b. Pdf a survey on the reactive ion etching of silicon in.

Oxford instruments provides rie systems for chemical, ioninduced and physical. Reactive ion etching or rie is a simple operation and an economical solution for general plasma etching. Preliminary studies using reactive ion etching with oa and ha showed etching rates of the order of 560 amin for thin carbon films and 350 amin for natural type iia diamonds using 300 ev. Reactive ion etching rie is a simple operation and an economical solution for general plasma etching. We describe a new method for etching patterns in silver, copper, or gold, or other plate metal thin films. The plasma is generated under low pressure vacuum by an electromagnetic field. For example, nanowires 2, nanopillars 3,4,5,6,7,8,9,10,11. Various highdensity dram and fram technologies currently under investigation utilize highdielectric constant materials requiring noble metal or refractory metal oxide electrodes. Torr international services llc manufactures reactive ion etchers designed for etching films of oxides, nitrides, polymers and more. Reactive ion etching, electron cyclotron resonance, and inductively coupled plasma icp technology are all used in this approach. Abstract a metalorganic deposition mod derived pb zr 0.

Pdf magnetically enhanced reactive ion etching of lead. An anisotropic etch is critical for highfidelity pattern transfer. The primary technology, reactive ion etch rie, bombards the wafer surface with ions charged particles to remove material. Reactive ion etching rie is a plasma etching technology to fabricate micro and nanostructures. The plasma portion of rie consists of an rf source exciting plasma causing the gas molecules to break into ions. Reactive ion etching systems rie plasma etching samco inc. Reactive ion etching designed to give better control of selectivity and anisotropy independently. Reactiveion etching rie vs deep reactiveion etching drie. This chapter discusses the deep reactive ion etching in detail.

A dc bias enhances ion bombardment energy, resulting in some sputtering and chemical catalyst effect. The plasma is generated under low pressure by an electromagnetic field. The purpose of dry etching is to create an anisotropic etch meaning that the etch is unidirectional. Plasma etching techniques are typically classed by the configuration of the electrodes. Berkeley ee143 f2010 lecture 15 1 reactive ion etching r ie plasma wafers rf. Corrosion study of an alcu alloy exposed to reactive ion. For the tiniest features, atomic layer etching ale removes a few atomic layers of material at a time. Reactive ion etching without any further lithography then leaves a liner in the original hole whose shape replicates the contour of the upper oxide see fig.

Us20060035173a1 patterning thin metal films by dry. Ion enhanced etching or reactive ion etching rie it has been observed that chemical and physical components of plasma etching do not always act independently both in terms of net. Highenergy ions from the plasma attack the wafer surface and react with it. The rie600w reactive ion etcher is intended for an isotropic etching of materials including metal oxides, silicon and microelectronic devices. Reactive ion etching of carbon nanowalls shingo kondo, hiroki kondo, yudai miyawaki, hajime sasaki, hiroyuki kano1, mineo hiramatsu2, and masaru hori department of electrical. The second type is reactive ion beam etching or ribe where a chemically reactive gas such as sf 6, chf 3, cf 4, o 2 or cl 2 other gases can also be used is usually added to ar or other inert. The volatile compounds are removed from the sample surfaces, and isotropic or anisotropic. Silicon surface texturing by reactive ion etching request pdf. Plasma based dry etching rf power is used to drive chemical reactions plasma takes place of elevated temperatures or very reactive chemicals types. Micro and nanostructured diamond in electrochemistry. The most common plasma etch configurations are reactive ion etch rie, planar etch pe, inductively. Fedder, a direct plasma etch approach to high aspect ratio polymer micromachining with applications in biomems and cmosmems, the fifteenth ieee international. Optimization of reactiveion etching rie epj web of conferences.

840 321 1323 595 1449 196 977 119 1514 956 229 430 351 577 1118 684 530 727 183 1083 1041 520 223 999 1014 121 1485 49 81 315 1190 991 885 1309 1179 423 1331 884 1457 751 18 869